Universal-g-code-sender: $H:警报:归位失败,控制器完成了一个意外的命令,带有 Java 异常

创建于 2019-03-29  ·  5评论  ·  资料来源: winder/Universal-G-Code-Sender

问题描述

当每晚使用 UGS Platoform 2.0(带有 CNC 屏蔽的 Arduino UNOS)向 GRBL 发送 $H 时,我收到错误消息警报:移动一段时间后归位失败(任何轴、X、Y 或 Z)

预期行为

它应该到达任何轴的限位开关。

实际行为

它移动了一段时间,然后在 10 秒后停止。

重现问题的步骤

  1. 打开程序
  2. 点击家用机
  3. 让机器尝试回家并失败

规格

版本

UGS Platform 2.0 - Nightly Build 2019 年 3 月 29 日
UGS 经典 1.0.9

操作系统

视窗 10

平台

GRBL 1.1f

其他

UGS平台抛出的异常:

com.willwinder.universalgcodesender.AbstractController$UnexpectedCommand:控制器完成了一个意外的命令。
在 com.willwinder.universalgcodesender.AbstractController.commandComplete(AbstractController.java:829)
[catch] 在 com.willwinder.universalgcodesender.GrblController.rawResponseHandler(GrblController.java:170)
在 com.willwinder.universalgcodesender.AbstractController.rawResponseListener(AbstractController.java:850)
在 com.willwinder.universalgcodesender.AbstractCommunicator.sendEventToListeners(AbstractCommunicator.java:222)
在 com.willwinder.universalgcodesender.AbstractCommunicator.lambda$new$0(AbstractCommunicator.java:244)
在 java.lang.Thread.run(Thread.java:748)

Untitled

最有用的评论

除了 Aby 的出色回答之外,4K70 电阻器的颜色是

4 波段:
黄色 (4)
紫罗兰 (7)
红色 (x100)
(任何颜色都可以容忍,但金色很常见 = 5%,棕色 = 1% 和
银 = 10%)

5 波段:
黄色 (4)
紫罗兰 (7)
黑色 (0)
棕色 (x10)
(任何颜色都可以容忍,但金色很常见 = 5%,棕色 = 1% 和
银 = 10%)

[图像:image.png]
图片由https://www.electronics-tutorials.ws/resistor/res_2.html 提供

保持上拉电阻器和电容器在物理上靠近
Arduino 输入和短线有助于最大限度地减少干扰 -
我们可以把电线看作是拾起杂物的天线,而
电容器是低通滤波器,只让“缓慢变化的信号”像
按钮或开关压入微控制器。

2019 年 4 月 13 日星期六 18:25,AbySet [email protected]写道:

嘿,
对不起,我来晚了,但我遇到了同样的问题,我修复了它:

  • 您的问题不是 UGS 问题,实际上是硬件问题引起的
    由于 IME 问题,它需要一个噪声过滤器。
  • 要解决这个问题,您应该在限位开关接线中添加一个过滤器
    通过添加一个 4.7K 电阻器和 100nF 电容器,这是如何做到的:

[图片:Limit_Swiths_Wiring]
https://user-images.githubusercontent.com/35888124/56076846-c40b4180-5dd5-11e9-926b-f47a0220fcb5.png

请注意,3 个电阻器颜色代码显示的值为 1K,但您必须
使用 4.7K 作为标记(我没有做原理图)。

希望这有帮助。


您收到此消息是因为您订阅了此线程。
直接回复本邮件,在GitHub上查看
https://github.com/winder/Universal-G-Code-Sender/issues/1208#issuecomment-482788731
或静音线程
https://github.com/notifications/unsubscribe-auth/AAdYqCBNMVYx6dyVNT1YyWkdTV6hfHosks5vgZRvgaJpZM4cS_tV
.

——
亚当“卡内基”卡迈克尔
电话:+61 415 37 1990
w: http :
电子邮件: [email protected]
我:2207644

所有5条评论

你能发布GRBL的设置吗?

嘿,
对不起,我来晚了,但我遇到了同样的问题,我修复了它:

  • 您的问题不是 UGS 问题,它实际上是由 IME 问题引起的硬件问题,需要噪声过滤器。
  • 要解决这个问题,您应该通过添加一个 4.7K 电阻器和 100nF 电容器来为限位开关接线添加一个过滤器,这是如何做到的:

Limit_Swiths_Wiring

请注意,3 个电阻器颜色代码显示的值为 1K,但您必须使用 4.7K 作为标记(我没有做原理图)。

希望这有帮助。

除了 Aby 的出色回答之外,4K70 电阻器的颜色是

4 波段:
黄色 (4)
紫罗兰 (7)
红色 (x100)
(任何颜色都可以容忍,但金色很常见 = 5%,棕色 = 1% 和
银 = 10%)

5 波段:
黄色 (4)
紫罗兰 (7)
黑色 (0)
棕色 (x10)
(任何颜色都可以容忍,但金色很常见 = 5%,棕色 = 1% 和
银 = 10%)

[图像:image.png]
图片由https://www.electronics-tutorials.ws/resistor/res_2.html 提供

保持上拉电阻器和电容器在物理上靠近
Arduino 输入和短线有助于最大限度地减少干扰 -
我们可以把电线看作是拾起杂物的天线,而
电容器是低通滤波器,只让“缓慢变化的信号”像
按钮或开关压入微控制器。

2019 年 4 月 13 日星期六 18:25,AbySet [email protected]写道:

嘿,
对不起,我来晚了,但我遇到了同样的问题,我修复了它:

  • 您的问题不是 UGS 问题,实际上是硬件问题引起的
    由于 IME 问题,它需要一个噪声过滤器。
  • 要解决这个问题,您应该在限位开关接线中添加一个过滤器
    通过添加一个 4.7K 电阻器和 100nF 电容器,这是如何做到的:

[图片:Limit_Swiths_Wiring]
https://user-images.githubusercontent.com/35888124/56076846-c40b4180-5dd5-11e9-926b-f47a0220fcb5.png

请注意,3 个电阻器颜色代码显示的值为 1K,但您必须
使用 4.7K 作为标记(我没有做原理图)。

希望这有帮助。


您收到此消息是因为您订阅了此线程。
直接回复本邮件,在GitHub上查看
https://github.com/winder/Universal-G-Code-Sender/issues/1208#issuecomment-482788731
或静音线程
https://github.com/notifications/unsubscribe-auth/AAdYqCBNMVYx6dyVNT1YyWkdTV6hfHosks5vgZRvgaJpZM4cS_tV
.

——
亚当“卡内基”卡迈克尔
电话:+61 415 37 1990
w: http :
电子邮件: [email protected]
我:2207644

阿比塞特说得对!

我还屏蔽了限位开关电缆。 确保将它们远离用于电机的电缆。

@toffuser ,这解决了吗?

此页面是否有帮助?
0 / 5 - 0 等级

相关问题

breiler picture breiler  ·  12评论

CW3Davis picture CW3Davis  ·  25评论

eschercode picture eschercode  ·  28评论

breiler picture breiler  ·  27评论

RussMaGuss picture RussMaGuss  ·  5评论